欢迎来到报告吧! | 帮助中心 分享价值,成长自我!

报告吧

换一换
首页 报告吧 > 资源分类 > PDF文档下载
 

20230908_中航证券_电子行业光刻机深度报告:筚路蓝缕寻光刻星火_61页.pdf

  • 资源ID:174508       资源大小:3.58MB        全文页数:61页
  • 资源格式: PDF        下载积分:9.9金币 【人民币9.9元】
快捷下载 游客一键下载
会员登录下载
三方登录下载: 微信开放平台登录 QQ登录  
下载资源需要9.9金币 【人民币9.9元】
邮箱/手机:
温馨提示:
用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
支付说明:
本站最低充值10金币,下载本资源后余额将会存入您的账户,您可在我的个人中心查看。
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,下载共享资源
 
友情提示
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,既可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

20230908_中航证券_电子行业光刻机深度报告:筚路蓝缕寻光刻星火_61页.pdf

2023 9 8 S0640522040001 S0640122080006 IC IC 1/2+1/3=1/NA 1 13.5nm 1 0.55NA EUV 10nm ArFi+EUV 1 DUV Cymer Gigaphoton EUV CO2 Sn 2 ZEISS ASML 3 0 1 5nm 35%2024 230 ASML 2022 40 150 1 2 3 WUFUuNqNoNmNpRoNqNpQrQ6MdNbRtRnNpNnOlOnNvMlOoOyQbRrQqNvPpPrOMYtOrN 1.1 1.2 NA 1 1.3 1.4 2.1 2.2 EUV 2.3 2.3.1 2.3.2 2.4 3.1 3.2 ASML 3.3 4.1 4.2 5.1 ifind 2023 9 6 代码 公司名称 产业链供应情况 所属系统 光刻机领域亮点当前市值(亿元)23年PE(一致)24年PE(一致)002222.SZ福晶科技(有望提供)精密光学元件、晶体光学系统中科院福建物构所控股,曾间接供应ASML。子公司“至期光子”聚焦纳米精度的超精密光学元件制造及复杂光机组件的研发131.3 55 45002338.SZ奥普光电(有望提供)光栅编码器双工件台系统中科院长光所控股,国内高端光栅编码器龙头,布局超精尺,理论上可用于光刻机87.8 43 31688502.SH茂莱光学DUV光学透镜 光学系统公司研发的DUV光学透镜已应用于SMEE国产光刻机中,公司半导体检测设备光学模组供货KLA114.8 178 134688010.SH福光股份(有望提供)高精密光学镜头光学系统公司是国内航天级光学镜头的重要供应商,募投项目布局超精密光学加工,有望为光刻机等领域提供高精密光学镜头及光学系统115.8 75 54688376.SH美埃科技 洁净室关键设备 周边配套为SMEE开发28nm光 刻机提供EFU(超薄型设备端自带风机过滤机组)及ULPA(超高效过滤器),也是SMIC、STM、Intel等的供应商51.3 29 21301421.SZ波长光电(有望提供)平行光源系统周边配套公司成功开发了光刻机平行光源系统,可用于国产光刻机领域配套,并已交付多套系统用于接近式掩膜芯片光刻工序。公司具备提供光刻机配套的大孔径光学镜头的能力,激光检测和测量相关产品进入半导体光刻领域配套检测产业106.7/688167.SH炬光科技 光场匀化器光学系统(照明系统)西安光机所投资,供货给世界顶级光学公司并最终应用于ASML核心设备,同时也应用于国内主要光刻机研发项目和样机中88.6 65 47688195.SH腾景科技(有望提供)合分束器光学系统(照明系统)公司应用于光刻机光学系统的合分束器处于样品验证阶段52.5 67 46300331.SZ苏大维格 光栅尺 工件台向SMEE提供定位光栅部件,公司光栅尺周期精度小于1nm,且公司纳米压印技术国内领先65.4 30 26300293.SZ蓝英装备 精密清洗解决方案 周边配套公司位于瑞士的二级子公司SECH为ZEISS用于芯片制造的镜头及半导体生产提供精密清洗设备;为ASML提供精密清洗解决方案44.0/300456.SZ赛微电子MEMS反射转镜光学系统(照明系统)子公司Silex为全球光刻龙头公司提供 微镜系统,是该公司微镜的主 供171.9 600 143 1.1 Litho wiki ASML Lithography 8 1/2+1/3 20-30 40%-50%1/3 ASML Nikon Canon Rudolph ORC SMEEFPD Nikon Canon SMEE1.1 SEMI Gartner IC PCB IC FPD 2022 941 17%IC ASML 2022 EUV 1.8 DUV 6500 2022 1.2 111 EETOP ASML=/1 35 436nm g-line 13.5nm EUV 1 ASML 0.25=n sin n=2/NA DoF DoF NA 1.2 ASML 436nm 30 13.5nm 3nm 20 IC 80 UV g i 365nm i-line 220nm 80 IBM/Cymer DUV KrF 110nm ArF 65nm,ArF 7nm 20 EUV 13.5nm DUV 14 技术阶段 光源 波长 对应设备 工艺节点第一代汞灯光源g-line 436nm接触式/接近式光刻机600nm第二代 i-line 365nm500-250nm(最高220nm)第三代深紫外线光源DUVKrF 248nm 扫描投影式光刻机250-130nm(最高110nm)第四代 ArF 193nm步进扫描投影式光刻机130-65nm/真空紫外线VUV F2 157nm 65nm第四代深紫外线光源DUVArFi 等效134nm浸没式步进扫描投影式光刻机45-14nm第五代 极紫外线光源 EUV 13.5nm 极紫外光刻机 14nm及以下1.2 NA+high-NA ArF 193nm F2 ArF+immersion NA=NA 1 65nm 2 193nm=1=1.44 1.5 193/1.44=134nmF2 157nm DUV NA 1.35 ASML DUV EUV 0.33NA 0.55NA 111 EETOP ASML 1.2 RET 1 1 1 0.3 ASML 0.25 1 RET RET OPC PSM OAI k1 RET RET 技术名称 应用位置 分辨率(k1)意义光学邻近效应校正(OPC)掩模版 0.5改善工艺窗口,可与任意其他RET 技术配合使用离轴照明(OAI)照明系统 0.25 为特定周期图形提供最优的照明角度衰减式移相掩模(AttenuatedPSM)掩模版0.5(传统照明下)0.25(离轴照明下)利用千涉效应改普成像保真度;改善OAI 的曝光宽容度亚分辨率辅助图形(SRAF)掩模版0.5(传统照明下)0.25(离轴照明下)扩大适用于某种OAI 的周期图形范围;降低掩模图形对像差的敏感度交替移相掩模(AlternatingPSM)掩模版 0.25利用干涉效应提高成像保真度,可将分辨率提高一倍1.3/HSET SSI 525 1973 Perkin Elmer 70 90%/类别 曝光方式 优势 劣势接触式将掩膜与光刻胶直接接触进行曝光 设备简单;曝光出来的图形与掩膜板上的图形分辨率相当。光刻胶容易污染掩膜板;硅片上图形缺陷多,光刻成品率较低;掩模版寿命短(只能使用525次)。接近式掩膜板与光刻胶基底层保留一个微小的缝,实现图形复印曝光 图形缺陷少;有效避免与光刻胶直接接触而引起的掩膜板损伤,掩模寿命长,可提高10倍以上。引入了衍射效应,降低了分辨率。投影式掩膜板与光刻胶之间使用光学系统聚集光实现曝光 分辨率高;掩膜板的制作更容易;掩膜板上的缺陷影响小。工艺制造技术壁垒高;设备复杂、昂贵。1.3 VLSI Michael Julian Serda 1:1 22 22mm(FOV)5:1 4:1 1978 GCA 1/4 FOV 26 33mm 1.3 Litho Etch 1.35NA DUV 38nm 28nm 2015 EUV 16/12nm DE LFLE LELE LELELE SADP SADP SAQP LELE+1 CVD+2 LELE LELE Lam Research LELE 1 2 1 2 1.3 SADP)CVD+mandrel ALD spacer spacer spacer LELE SADP SADP SADP SADP Lam Research TEL SAQP BARCMandrelspacer 1.3 EUV ASML 10nm DUV ArFi+22/20/16/14nm 10nm 7nm TSMC 7nm N7 DUV+DUV 7nm 34 59-65 EUV 7nm 9 12 EUV 20%EUV EUV 15%-50%3-6x 5nm DUV layer EUV DUV DUV 28nm 20nm 10nm 7nm all immersion 7nm all EUV steps 6 8 23 34 9 steps 7 9-11 36-40 59-65 12 EUV 1.4 1957-1959 GCA 1961 Zeiss Kasper Zeiss 1968 1970Canon 1973 Perkin Elmer GCA 1978 Nikon SVGL NSR-1010G1980ASML ASMI ASML PAS20001984Perkin Elmer SVGLi-line ASML PAS5500 IBM IBM 1991 ASML TWINSCAN AT:750T KrF 130nm12 KrF 20011995 ASML ASMLSVGL ASML AT:1150i F2 demo ArF2003 ASML 1.35NA XT:1900i 45nm F2 ASML 2007 ASML NXT NXT:1950i 2008 ASML IMEC EUV NXE:3100 TSMCEUV ASML 2010 2015 ASML EUV ASML EUV NXE:3400B EUV 2015-2016 ASML Zeiss 0.55 NA EUV EXE:5000 2023 2025 High-NA EXE 2023E TBC ASML Michael Julian Serda ASML 2.1 ASML Cymer DUV TWINSCAN NXT 2100i CD overlay throughput wph+分系统 作用光源系统 为光刻机提供曝光能量,光刻机的核心部件之一。照明系统对激光的扩束、高均匀高强度均匀照明,并提供特定照明方式;主要包括传输光路,光束矫正器、光束整形、能量探测与计量控制、照明均匀器、掩模光阑等。投影物镜系统由2030块镜片组成,把掩膜版上的电路图按比例缩小,再投影到硅片上,并且可以补偿各种光学误差。工件台和掩模台分系统实现掩模-硅片的同步扫描、步进运动、对准扫描、执行调平调焦、协助硅片下片等。调平调焦分系统通过调整硅片台的六个自由度,保证曝光场在所要求焦深 范围内,进而保证曝光质量。掩模与硅片对准分系统将掩模上的图像和晶圆上已有的图形对准,以保证曝光后图像之间的准确套刻。硅片传输与预对准系统分系统将硅片从片盒传送到工件台,并完成机械预对准和光学预对准,使硅片与机器坐标系初步对准,并进入到对准系统范围内,再将已曝光的硅片从工件台传送回片盒。整机环境分系统将工作台与外部环境隔离,保持水平,减少外界振动干扰,并控制温度、压力、湿度等。包括封闭框架、减震装置等。ASML EUV 800 ASML 90nm DUV 13 3 200 2.1 2.2 OSRAM ASML 254579nm i-line 365nm g-line 436nm PCB LCD 2.2 Cymer Excimer 60 Kr2/Ar2/XeF/KrF/ArF/XeCl ArF 193nm KrF 248nm Cymer Gigaphoton Cymer 2013 ASML 80%193nm ArF Cymer ArF 产品名称 发射波长 腔室 输出功率 光谱宽度调节ArFImmersionXLR 700IX 193 nm Dual Recirculating Ring 60-90W300+/-5 fm wafer average with DynaPulse XLR 800IX 193 nm Dual Recirculating Ring 60-120W300+/-5 fm wafer average with DynaPulse XLR 900IX 193 nm Dual Recirculating Ring 60-90W300+/-2 fm wafer average with DynaPulse ArFDryXLA 105HP 193 nm Dual MOPA 45W500fm ABS bandwidth control technologyXLR 700(DRY)193 nm Dual Recirculating Ring 45-90W300+/-5 fm wafer average with DynaPulse KrF ELS-7010 248 nm Single Oscillator 30-40 W Cymer KrF Cymer ELS-6000 F2Kr/Ne 20%100nm ArF Cymer 2.2 FWHM Ca F2 CDU OPuS SmartPulse BAM CDU CDU:2.2 2.2 EUV EUV EUV 4 EUV LPP 5 20kW CO2 13.5nm EUV TRUMPF ASML EUV CO2 n n EUV IF EUV EUV EUV ASML TRUMPF ZEISS ASML ZEISS EUV TRUMPF CO2 BTS FFA ASML ASML NXE EUV IF 2.2 EUV EUV ASML Cymer Gigaphoton MOPA+Sn ASML Gigaphoton TRUMPF 45 CO2 10000 40kW 45.7 17 TRUMPF ASML 13.5nm TRUMPF CO2 TRUMPF 核心组件 组件功能简介高功率种子模块(HPSM)HPSM 包括两个产生 几瓦输出脉冲的种子激光器,以及使激光束成型并确保优质脉冲宽度的众多有源和无源光学组件。通过第一个放大器将光预放大到 100 W 的数量级。高功率放大链路(HPAC)HPAC 由用于提高功率并通过光束传导装置相互连接的 四个谐振腔组成。每个谐振腔优化至相应放大级(如鼓风机、射频激励、气体混合、光束传导)。三个不同冷却循环回路保证系统内的必要稳定性。光束传输系统(BTS)BTS 由安装板、宽角透镜和反射镜组成,可以朝微滴腔室方向 传输激光束长达 30 米。反射镜涂有特殊涂层,以便尽可能减少对激光的吸收。光学平台(FFA)FFA 由两个设备部件组成:光学平台与聚焦单元。光学平台上分离预脉冲和主脉冲 并使其成型以产生优质的 EUV 光。聚焦单元使激光更好聚焦于锡液滴。2.2 EUV =+EUV 1.5m 3.5 3.5 1.5 parts/1.5 2 parts/2 ZEISS ArF2.3 EUV 滤光器扩束装置 RET DOE MMA DOE C a F2 2.3.1 ArF CaF2 LIS 0,+1-1 DoF ASML Aerial+DOE ASML FlexRay 64 64 MEMS DUV EUV 2.3.1 OAI 1 NA 1 2 3 ASML DUV 1m 0.4m 15 30 60 0.8m ZEISS ASML 200nm ASML ArF DUV 2nm 0.6 ASML 2.3.2 ASML ZEISS NA NA 193nm DUV 1 NA0.8 NA 0.9 2 NA 1.07 3 NA 1.3 NA Ca F2 DUV CaF2 Ca F2 193nm 2.3.2 NANA ArF F2-Nikon 157nm F2 CaF2 2003 ASML 1250i 2006 1700i TOC 2.3.2 Nikon 缺陷类型是否仅存在于浸没式形成机制 减小缺陷的方法气泡缺陷 是 曝光光线被气泡散射-光学效应 对曝光头的设计进行优化反气泡缺陷在干式中也曾观测到曝光光线被透明颗粒所散射-光学效应1.过滤优化 2.定期清洁涂层碗 3.更好的涂层均匀性水渍残留缺陷 是由水滴引起的局部光刻胶敏感性损失-局部化学作用1.选择具有高后退接触角的光刻胶2.更好的布线和后漂洗表面颗粒物缺陷 均存在水弯月面从晶圆台和晶圆边缘拾取颗粒/散落的薄片1.高品质的浸泡水2.定期清洁晶圆台3.材料附着力和EBR 优化微型桥接缺陷 均存在1.由BARC 工艺引起 2.由异物引起 3.抗蚀剂和面漆混合1.更好的BARC 涂布工艺2.使用最佳抗蚀剂和面漆组合 NA NA0.7 ArF NA 1.35 DUV NA 1 0.93 NA 1.07 NA1.2 NA 0.1 34 2.3.2 NA ZEISS 4 EUV EUV 13.5nm EUV 6 2 2 parts Mo/Si 100 ZEISS Fraunhofer IOF 70%ZEISS EUV PV0.12nm 30pm ZEISS PV30nm RMS5nm 0.5nm I 2.3.2 EUV ZEISS ASML EUV 1 2 3 5关键指标 指标含义技术水平对比ZEISS 茂莱光学面形精度指实际曲面与理想曲面的差,表征面形精度的指标有多种,如:峰谷值PV,是指在取样范围内(基于 2D 轮廓线或者 3D 数据地图),去除基准理想面后,最高点和最低点之间的高度差,值越小越好。PV0.12nm,RMS0.2nmPV30nm,RMS5nm表面光洁度表面光洁度是对光学零件表面疵病的要求,即光学零件表面允许的麻点、擦痕、开口气泡、破点及破边等缺陷大小和数量的限制,值越小越好。小于30pm(0.03nm)小于0.5nm反射率指一束光入射到光学面,被光学表面反射的光占总入射光的百分数。EUV 反射镜:70%/High-NA EUV High-NA UV 13.5nm X ASML 2015 0.55NA EUV 0.33NA High-NA 70%3nm 33%42%1.2m ASML High-NA EUV 20242.3.2 EUV High-NA ASML Harry J.Levinson 2022:High-NA EUV lithography:current status and outlook for the future,High-NA EUV EUV/High-NA EUV 数值孔径 最大反射镜的直径 最重反射镜的质量0.33NA 0.65m 40kg0.55NA 1.2m 360kg High-NA ASML 2000 ASML TWINSCAN 1 100wph ASML 2100i 295wph 2 ASML 2.4 ASML ASML +MA MSD CD 38nm MA 1nm MSD 7nm ASML 5g 2016 02 MA 1.5nm MSD 2.6nm SMEE 2.4 IC 2008 AT XT+2008 NXT+30%ArFi EUV ASML Nikon ASML 4 4 4 8 2.4+ASML 对准系统 3.1 2030 1.1 2020 2021-2030 CAGR 7%AI 2nm IoT+Mckinsey NXP ASML ASML 2030 3.1 ASML 2020-2030 78/CAGR 6.5%22/38 CAGR 12.0%/6.0%DRAM NAND 4.7%/4.9%15/TSMC 320-36010%Intel 30 UMC 30 2022 63.5 ASML TechInsights 12&3.1 10nm 25%5nm EUV+35%DRAM 25%NAND 3D EUV 3D NAND ASML 3.1 24 25%2023 WFE 2024 SEMI 2022 WFE 980 2023 22%760 2024 21%920 Foundr y 2024 488 WFE WFE 2024 WFE 25%230 SEMI ASML WFE 3.1 EUV+ArFi KrF/i-line 2022 ASML/Nikon/Canon 209 KrF+193 i-line KrF ASML i-line Canon 28nm 85 81 ASML EUV 40 ASML EUV ArFi ASP KrF i-line ASML EUV+ArFi 8 ASML Nikon Canon 2022 ASML ASP Top3 2022 Nikon i-line Mini Step&Repeat Exposure SystemEUV ArF i ArF KrF i-lineASML 出 货量(台)40 81 28 151 45ASP(亿欧元)1.77 0.65 0.22 0.11 0.033.1 EUV EUV 7nm ArFi EUV 3nm EUV 70%DRAM EUV EUV EUV 3000 Intel IDM 2.0 TSMC Samsung Mordor Intelligence 2022-2027 EUV CAGR 16.7%2028 200 ASML Mordor Intelligence EUV EUV 3.2 ASML ASML Nikon Canon GCA+PerkinElmer ASML+Nikon/Canon ASML 8 EUV ASML ASML EUV DUV ASML Nikon 2022 ASML 95%ArF KrF 88%/72%i Canon ASML Nikon Canon ASML/Nikon/Canon 2022 ASML/Nikon/Canon ASML Nikon FPD Canon FPD 23 9 Nikon i-line Mini Step&Repeat Exposure System3.2 ASML ASML ASML 1/2000 ASML 7 SVG Brion EUV Cymer 2016 HMI 2017 Zeiss 24.9%2019Mapper 2020 Berliner Glas 2 2012+61.3 ASML 23%EUV 3 2022 ASML 33 2025 40 ASML ASML ASML 3.3 0 1 2022 IC 39.7 25.5/13.0 147 6351733 204 ASML ASML 2022 ASML 31.4 23.3 14%ASML ASML ASML 2023 7 23 Nikon DUV 3 8 DUV 6 30 9 1 ASML NXT:2000i ASML 2023 2000i DUV EUV 2024 ASML 3.3 ASML DUV EUV 设备型号TWINSCAN NXT:2100iTWINSCAN NXT:2050iTWINSCAN NXT:2000iTWINSCAN NXT:1980Di推出时间 2023年 2020年 2018年 2015年关键尺寸 38nm 38nm 38nm 38nm光源波长193nm(134nm)193nm(134nm)193nm(134nm)193nm(134nm)数值孔径 1.35NA 1.35NA 1.35NA 1.35NA产量 295wph 295wph 275wph 275wph套刻精度0.9nmDCO1.3nm MMO1.0nmDCO1.5nm MMO2.0nm MMO1.6DCO2.5nm MMO ASML DUV 70 2002 ArF 863 2008 02 ASML SMEE 02 3.3 分系统 产业化公司 主要股东 相关项目 公司进展整机 上海微电子上海电气(上海市国资委)、上海张江浩成创投(张江高科)02专项90nm 光刻机样机研制;02专项浸没光刻机关键技术预研项目;项目均通过了验收,90nm ArF 光刻机SSA600 系列 实现出货光源系统 科益虹源中科院微电子所、亦庄国投、哈勃投资02准分子激光技术成果产业化载体;继续承担02浸没光刻光源研发;193nm ArF 高能准分子激光器完成出货,40W 4kHz KrF 准分子激光器批量生产。光学系统 国望光学 亦庄国投、长光集团(长光所)02二期面向28nm 节点的ArF 浸没式光刻曝光光学系统研发;90nm ArF 投影光刻机 曝光光学系统交付用户;28nm ArF 浸没式光刻曝光光学系统研发攻关任务进展顺利。光学系统 国科精密 国望光学02一期高NA 浸没光学系统关键技术研究;02二期浸没式光刻机光学系统产品研制与批量生产能力建设;02高NA 浸没光学系统关键技术研究项目通过验收;0.75NA 投影物镜/0.75NA 照明系统均实现交付(90nm ArF 光源);28nm ArFi 曝光系统在研。双工件台系统 华卓精科 清华大学朱煜等三个02专项,包括IC 装备高端零部件集成制造工艺研究与生产制造应用于干式光刻机的DWS 双工件台已对SMEE 出货;浸没式光刻机用双工件台DWSi 在研。浸没系统 启尔机电上海浦东新兴产业投资、中信证券投资、深创投浙江大学启尔团队,国家863 计划等 提供高端半导体装备超洁净流控系统及其关键零部件。3.3 90nm SMEE 80%2018 02 90nm 90nm SSA600/20 2017 02DUV ArFi+IC/IC 型号 SSA600/20 SSC600/10 SSB600/10图示分辨率 90nm 110nm 280nm曝光光源 ArF excimer laser KrF excimer laser i-line mercury lamp镜头倍率 1:4 1:4 1:4硅片尺寸 200mm 或300mm 200mm 或300mm 200mm 或300mm 4.1 ASML 2022 ASML 5000 124 86 2022 ASML 154 56%2022 IC 40 150 ASML ASML 2022 ASML 公司 所属国家/地区 主要供应产品Cymer(已被ASML 收购)美国 准分子激光器、EUV 光源Gigaphoton 日本 准分子激光器TRUMPF 美国 CO2 激光器ZEISS(ASML 控股24.9%)德国 光学系统Berliner Glas(已被ASML 收购)德国 晶圆台、镜块等Photronics 美国 掩模版Sparton 美国 机电设备Entegris 美国 EUV 光罩盒(污染控制)MKS 美国 仪表和控制系统汉微科(已被ASML 收购)中国台湾 电子束检测设备公准精密 中国台湾 模组模具信邦电子 中国台湾 高阶线材等4.2 ifind+LBO BBO 80%TRUMPF Lumentum 类别 产品 图示 用途晶体非线性光学晶体、激光晶体、双折射晶体、磁光晶体、声光及电光晶体、闪烁晶体等主要用途:作为固体激光器的工作物质、非线性频率转换、磁光材料、电光材料等主要细分应用市场:固体激光器、光纤激光器精密光学元件非球面透镜、球面透镜、柱面透镜、反射镜、窗口片、棱镜、波片、偏振镜、分光镜、光栅等主要用途:应用于激光器谐振腔、准直聚焦、光路传输、光束整形、偏振转换、分光合束等主要细分应用市场:固体激光器、光纤激光器、光通讯、AR/VR、激光雷达、半导体设备、光学检测设备、分析仪器、生命科学等激光器件磁光器件、声光器件、电光器件、驱动器、光开关、光学镜头(扫描场镜、扩束镜)、光纤传输器件等主要用途:光纤与固体激光器的声光调制器、电光调制器、Q 开关、隔离器等;主要细分应用市场:固体激光器、光纤激光器、光通讯等4.3 ifind/k9 65%4.4 SMEE ifind AR/VR 23H1 34%KLA Camtek SMEE Frost&Sullivan 2022-2026 35.5 55.8 产品名称 产品图示 产品介绍 应用领域精密光学器件:高面型、高光洁度、高精度镀膜半导体DUV光学透镜该产品选用高纯度石英、CaF2 材料,经 由高 质 量抛 光、半导体紫外光谱段镀膜后可实现高面型与表面光洁度,口径在100mm-300mm,达到深紫外波段要求。光刻机光学系统照明、曝光模块精密光学镜头:超高精度、高分率、成像质量优质紫外镜头该产品为 I-line 谱段(365nm)使用的紫外高端镜头,具有分辨率高、数值孔径值大的特点,可以对紫外光学系统的色差进行校正,保证系统良好的性能。晶圆缺陷检测光学系统:包括医疗检测、半导体检测、生物识别光学模组、AR/VR 光学测试模组及光学检测设备半导体检测光学模组该产品具备视场范围广、测试分辨率高的特点,通过模块化的设计,帮助客户降低整个测试系统的成本和维护频率,大幅减少维护时间,同时使检测仪器对微小缺陷的控制能够达到较高的水平。半导体装备晶圆缺陷检测系统4.5 ifind 类别 产品 图示 用途定制类航天工程系列镜头、弹载系列镜头、舰载工程系列镜头、光电吊舱系列镜头、火箭专用系列镜头等主要用途:用于探测空间目标、多型号导弹与制导炮弹、舰船以及火箭发射实时图像获取等;主要应用市场:军用光学镜头,应用于各军种军事装备,包括星载、舰载、箭载、弹载等。非定制类安防监控镜头、物联网及AI 镜头、车载红外镜头系列主要用途:安防监控、治安/交通智能监测、生产加工中的智能识别感应监测、ADAS;主要应用市场:城市安防、政企单位、智慧安防、智慧交通、智能制造等。ifind STM Intel 2006 FFU SMEE 28nm EFU ULPA 4.6 EFU 5.1 ASML+300 10%300-10%-10%300 10%300 300 300 SAC S0640122030028 SAC S0640522040001 2022 1 SAC S0640122080006 2022 7 SAC S0640122040021 2022

注意事项

本文(20230908_中航证券_电子行业光刻机深度报告:筚路蓝缕寻光刻星火_61页.pdf)为本站会员(13940259562)主动上传,报告吧仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知报告吧(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2017-2022 报告吧 版权所有
经营许可证编号:宁ICP备17002310号 | 增值电信业务经营许可证编号:宁B2-20200018  | 宁公网安备64010602000642号


收起
展开